SkyWater Technology recently disclosed a partnership with Multibeam to deploy its multicolumn electron-beam lithography (MEBL) system into SkyWater’s recently-expanded fab. SkyWater says that doing so will both support its roadmap to advance its manufacturing capabilities and accelerate growth. 

The “groundbreaking” MEBL system is expected to launch in 2021 and will be capable of “full-wafer, all-maskless patterning at 4 nm and beyond,” the announcement reads.

Electron Beam Lithography

Electron beam (e-beam) lithography (EBL) is a method that uses a focused electron beam to pattern features down to sub-10 nm on surfaces coated with an electron-sensitive film known as a resist. When the electron beam hits the resist, its solubility changes to enable the selective removal of either the exposed or non-exposed regions of the resist. 

SkyWater’s foundry in Bloomington, IN

SkyWater’s foundry in Bloomington, IN. Highlighted is an area where 60,000 square feet of production space was added last year. Image used courtesy of Lauri Julian, SkyWater Technology Foundry

Modern EBL systems have a high depth of focus of several hundred nanometers and can correct for large-scale wafer height variations of several hundred microns. This makes it useful with wafers that have rough surface topology, such as those of gallium nitride (GaN). EBL also allows multiple designs to be fabricated in tandem on one wafer. 

However, EBL is a relatively slow and expensive process. This makes it impractical for use in production, and substrate charging and proximity error effects also need to be accounted for in order to achieve good quality devices, a requirement that adds extra fabrication steps and correction software into the design process.

A Federally-Funded Endeavor for Secure Lithography

Multibeam’s MEBL system, which was funded by a $38 million contract award from the United States Department of Defense (DoD) in a program managed by the Air Force Research Laboratory, takes EBL a step further. 

The so-called “security lithography” technology has been designed to pattern entire wafers at 45 nm and larger nodes without the use of any masks for back-end-of-line (BEOL). It’s also intended for “low-volume, high-mix” chips that are typically made for the DoD.

Basic technology behind electron-beam lithography

Basic technology behind electron-beam lithography. Image used courtesy of the University of Michigan
 

Leaders in optical lithography, such as the German integrated photonics group Jenoptik, have little interest in these low-volume chips because their businesses are more concerned with and have logistics geared toward high-volume production. 

Reducing Prototyping Cost and Time

With the increasing need for early-generation chips with mature nodes, mask-related costs begin to add up. Masks with less demand also have longer lead times, which is a drain on productivity. 

According to Multibeam chairman David Lam, MEBL cuts prototyping cost and time because “respins” no longer require a new set of masks. “Since all e-beam columns in our MEBL system write independently and in parallel, they empower production of multi-project wafers and chips larger than the typical optical field of view,” he added. 

As a proprietary platform, MEBL can reportedly be applied to ensure “security lithography” by embedding unique security information into each integrated circuit (IC) during wafer fabrication. Multibeam plans to build on this by enabling hardcoding of unique chip IDs into each IC during fabrication, something that would make counterfeiting profoundly more difficult. 

Commenting on SkyWater’s move to partner with Multibeam to deploy the innovative MEBL system, Thomas Sonderman, CEO of SkyWater, said: “We seek innovative solutions that can add high value while reducing manufacturing cost and cycle times; MEBL’s deployment will benefit all of our customers, both government and commercial.”

Source: All About Circuits